Design and Implementation of High QoS 3D-NoC using Modified Double Particle Swarm Optimization on FPGA

Main Article Content

Sujata S. B.
Anuradha M. Sandi

Abstract

One technique to overcome the exponential growth bottleneck is to increase the number of cores on a processor, although having too many cores might cause issues including chip overheating and communication blockage. The problem of the communication bottleneck on the chip is presently effectively resolved by networks-on-chip (NoC). A 3D stack of chips is now possible, thanks to recent developments in IC manufacturing techniques, enabling to reduce of chip area while increasing chip throughput and reducing power consumption. The automated process associated with mapping applications to form three-dimensional NoC architectures is a significant new path in 3D NoC research. This work proposes a 3D NoC partitioning approach that can identify the 3D NoC region that has to be mapped. A double particle swarm optimization (DPSO) inspired algorithmic technique, which may combine the characteristics having neighbourhood search and genetic architectures, also addresses the challenge of a particle swarm algorithm descending into local optimal solutions. Experimental evidence supports the claim that this hybrid optimization algorithm based on Double Particle Swarm Optimisation outperforms the conventional heuristic technique in terms of output rate and loss in energy. The findings demonstrate that in a network of the same size, the newly introduced router delivers the lowest loss on the longest path.  Three factors, namely energy, latency or delay, and throughput, are compared between the suggested 3D mesh ONoC and its 2D version. When comparing power consumption between 3D ONoC and its electronic and 2D equivalents, which both have 512 IP cores, it may save roughly 79.9% of the energy used by the electronic counterpart and 24.3% of the energy used by the latter. The network efficiency of the 3D mesh ONoC is simulated by DPSO in a variety of configurations. The outcomes also demonstrate an increase in performance over the 2D ONoC. As a flexible communication solution, Network-On-Chips (NoCs) have been frequently employed in the development of multiprocessor system-on-chips (MPSoCs). By outsourcing their communication activities, NoCs permit on-chip Intellectual Property (IP) cores to communicate with one another and function at a better level. The important components in assigning application duties, distributing the work to the IPs, and coordinating communication among them are mapping and scheduling methods. This study aims to present an entirely advanced form of research in the area of 3D NoC mapping and scheduling applications, grouping the results according to various parameters and offering several suggestions for further research.

Article Details

How to Cite
S. B., S. ., & M. Sandi, A. . (2023). Design and Implementation of High QoS 3D-NoC using Modified Double Particle Swarm Optimization on FPGA. International Journal on Recent and Innovation Trends in Computing and Communication, 11(9s), 199–208. https://doi.org/10.17762/ijritcc.v11i9s.7411
Section
Articles

References

M. Safari, Z. Shirmohammadi, N. Rohbani and H. Farbeh, "WiP: Floating XY-YX: An Efficient Thermal Management Routing Algorithm for 3D NoCs," 2018 IEEE 16th Intl Conf on Dependable, Autonomic and Secure Computing, 16th Intl Conf on Pervasive Intelligence and Computing, 4th Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress(DASC/PiCom/DataCom/CyberSciTech), Athens, Greece, 2018, pp. 736-741, doi: 10.1109/DASC/PiCom/DataCom/CyberSciTec.2018.00128.

M. Beheiry, A. Aly, H. Mostafa and A. M. Soliman, "Direct-Elevator: A modified routing algorithm for 3D-NoCs," 2015 27th International Conference on Microelectronics (ICM), Casablanca, Morocco, 2015, pp. 222-225, doi: 10.1109/ICM.2015.7438028.

E. Taheri, A. Patooghy and K. Mohammadi, "XYZ-ZXY: A minimal routing algorithm for dynamic thermal management in 3D NoCs," 2016 24th Iranian Conference on Electrical Engineering (ICEE), Shiraz, Iran, 2016, pp. 1539-1544, doi: 10.1109/IranianCEE.2016.7585766.

E. Taheri, A. Patooghy and K. Mohammadi, "Cool elevator: A thermal-aware routing algorithm for partially connected 3D NoCs," 2016 6th International Conference on Computer and Knowledge Engineering (ICCKE), Mashhad, Iran, 2016, pp. 111-116, doi: 10.1109/ICCKE.2016.7802125.

R. Salamat, M. Khayambashi, M. Ebrahimi and N. Bagherzadeh, "LEAD: An Adaptive 3D-NoC Routing Algorithm with Queuing-Theory Based Analytical Verification," in IEEE Transactions on Computers, vol. 67, no. 8, pp. 1153-1166, 1 Aug. 2018, doi: 10.1109/TC.2018.2801298.

K. -C. Chen, S. -Y. Lin, H. -S. Hung and A. -Y. A. Wu, "Topology-Aware Adaptive Routing for Nonstationary Irregular Mesh in Throttled 3D NoC Systems," in IEEE Transactions on Parallel and Distributed Systems, vol. 24, no. 10, pp. 2109-2120, Oct. 2013, doi: 10.1109/TPDS.2012.291.

Yadav , A. K. ., Bhaskar Ch. , V. ., M., N. ., Raja, J. E. ., S. Pund, S. ., & Kumari , A. . (2023). A Secure Multi-Path Communication through Dynamic Path Identifiers to Prevent Denial-of-Service Flooding Attacks. International Journal of Intelligent Systems and Applications in Engineering, 11(3s), 22–28. Retrieved from https://ijisae.org/index.php/IJISAE/article/view/2527

F. Dubois, A. Sheibanyrad, F. Pétrot and M. Bahmani, "Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Connected 3D-NoCs," in IEEE Transactions on Computers, vol. 62, no. 3, pp. 609-615, March 2013, doi: 10.1109/TC.2011.239.

Z. Ghaderi, A. Alqahtani and N. Bagherzadeh, "AROMa: Aging-Aware Deadlock-Free Adaptive Routing Algorithm and Online Monitoring in 3D NoCs," in IEEE Transactions on Parallel and Distributed Systems, vol. 29, no. 4, pp. 772-788, 1 April 2018, doi: 10.1109/TPDS.2017.2780173.

K. N. Dang, A. B. Ahmed, Y. Okuyama and A. B. Abdallah, "Scalable Design Methodology and Online Algorithm for TSV-Cluster Defects Recovery in Highly Reliable 3D-NoC Systems," in IEEE Transactions on Emerging Topics in Computing, vol. 8, no. 3, pp. 577-590, 1 July-Sept. 2020, doi: 10.1109/TETC.2017.2762407.

A. Coelho, A. Charif, N. -E. Zergainoh and R. Velazco, "FL-RuNS: A High-Performance and Runtime Reconfigurable Fault-Tolerant Routing Scheme for Partially Connected Three-Dimensional Networks on Chip," in IEEE Transactions on Nanotechnology, vol. 18, pp. 806-818, 2019, doi: 10.1109/TNANO.2019.2931271.

R. Salamat, M. Khayambashi, M. Ebrahimi and N. Bagherzadeh, "A Resilient Routing Algorithm with Formal Reliability Analysis for Partially Connected 3D-NoCs," in IEEE Transactions on Computers, vol. 65, no. 11, pp. 3265-3279, 1 Nov. 2016, doi: 10.1109/TC.2016.2532871.A. Charif, A. Coelho, M. Ebrahimi, N. Bagherzadeh and N. -E. Zergainoh, "First-Last: A Cost-Effective Adaptive Routing Solution for TSV-Based Three-Dimensional Networks-on-Chip," in IEEE Transactions on Computers, vol. 67, no. 10, pp. 1430-1444, 1 Oct. 2018, doi: 10.1109/TC.2018.2822269.

Y. Fu et al., "Optimizing Vertical Link Placement and Congestion Aware Dynamic Elevator Assignment for Partially Connected 3D-NoCs," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 10, pp. 1957-1970, Oct. 2021, doi: 10.1109/TCAD.2020.3038338.

R. Dash, A. Majumdar, V. Pangracious, A. K. Turuk and J. L. Risco-Martín, "ATAR: An Adaptive Thermal-Aware Routing Algorithm for 3-D Network-on-Chip Systems," in IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 8, no. 12, pp. 2122-2129, Dec. 2018, doi: 10.1109/TCPMT.2018.2842102.

V. Y. Raparti, N. Kapadia and S. Pasricha, "ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors," in IEEE Transactions on Multi-Scale Computing Systems, vol. 3, no. 2, pp. 72-85, 1 April-June 2017, doi: 10.1109/TMSCS.2017.2686856.

E. Taheri, R. G. Kim and M. Nikdast, "AdEle+: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D NoCs," in IEEE Transactions on Computers, doi: 10.1109/TC.2023.3248260.

Y. Fu, L. Li, K. Wang and C. Zhang, "Kalman Predictor-Based Proactive Dynamic Thermal Management for 3-D NoC Systems With Noisy Thermal Sensors," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 36, no. 11, pp. 1869-1882, Nov. 2017, doi: 10.1109/TCAD.2017.2661808.

P. Guo et al., "Fault-Tolerant Routing Mechanism in 3D Optical Network-on-Chip Based on Node Reuse," in IEEE Transactions on Parallel and Distributed Systems, vol. 31, no. 3, pp. 547-564, 1 March 2020, doi: 10.1109/TPDS.2019.2939240.

E. Taheri, M. Isakov, A. Patooghy and M. A. Kinsy, "Addressing a New Class of Reliability Threats in 3-D Network-on-Chips," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 7, pp. 1358-1371, July 2020, doi: 10.1109/TCAD.2019.2917846.

Gan, Y.; Guo, H.; Zhou, Z. 3D NoC Low-Power Mapping Optimization Based on Improved Genetic Algorithm. Micromachines 2021, 12, 1217. https://doi.org/10.3390/mi12101217

Ponnan, S., Kumar, T.A., VS, H. et al. Congestion aware low power on chip protocols with network on chip with cloud security. J Cloud Comp 11, 41 (2022). https://doi.org/10.1186/s13677-022-00307-4

Seth B, Dalal S, Jaglan V, Le D-N, Mohan S, Srivastava G (2022) Integrating encryption techniques for secure data storage in the cloud. Emerging telecommunication technology, Wiley 2020:1–24. https://doi.org/10.1016/j.matpr.2021.01.864

Kun-Chih (Jimmy) Chen.et.al, "Routing algorithm design for power- and temperature-aware NoCs", Advances in Computers, Elsevier, Volume 124, 2022, Pages 117-150, ISSN 0065-2458, ISBN 9780323856881, https://doi.org/10.1016/bs.adcom.2021.11.012.

Savva S, Tatas K, Kyriacou C. Approximate Priority Hybrid 3DNoC Buffered-Bufferless Router. Micromachines (Basel). 2023 Jan 28;14(2):335. doi: 10.3390/mi14020335. PMID: 36838035; PMCID: PMC9961264.

Sujata S.B., Sandi A.M. Design and analysis of buffer and bufferless routing based NoC for high throughput and low latency communication on FPGA. Int. J. Pervasive Comput. Commun. 2022;18:250–265. doi: 10.1108/IJPCC-05-2021-0115.

Pontes M.F., Farias C.R., Schvittz R.B., Butzen P.F., Leomar S.R. Survey on Reliability Estimation in Digital Circuits. J. Integr. Circuits Syst. 2021;16:1–11. doi: 10.29292/jics.v16i3.568.